Compare commits
2 Commits
8a62b89a13
...
3a63e916f5
Author | SHA1 | Date | |
---|---|---|---|
3a63e916f5 | |||
49335a2c2f |
BIN
boot_code/brainfuck_mandelbrot.fst.hier
Normal file
BIN
boot_code/brainfuck_mandelbrot.fst.hier
Normal file
Binary file not shown.
@ -276,11 +276,11 @@ always @(posedge clock) begin
|
|||||||
/*************** DATA READ ***************/
|
/*************** DATA READ ***************/
|
||||||
`define finished_read \
|
`define finished_read \
|
||||||
DATA_DIR <= 0; \
|
DATA_DIR <= 0; \
|
||||||
VALID_DATA <= 1;\
|
|
||||||
if ( read_request == 0 ) begin \
|
if ( read_request == 0 ) begin \
|
||||||
biu_state <= `BIU_NEXT_ACTION;\
|
biu_state <= `BIU_NEXT_ACTION;\
|
||||||
VALID_DATA <= 0;\
|
VALID_DATA <= 0;\
|
||||||
end
|
end else \
|
||||||
|
VALID_DATA <= 1;
|
||||||
`BIU_GET_ALIGNED_DATA:begin
|
`BIU_GET_ALIGNED_DATA:begin
|
||||||
`ifdef DEBUG_DATA_READ_WRITES
|
`ifdef DEBUG_DATA_READ_WRITES
|
||||||
if(Wbit==1)
|
if(Wbit==1)
|
||||||
|
@ -105,14 +105,14 @@ end
|
|||||||
always @(posedge reset) begin
|
always @(posedge reset) begin
|
||||||
de_state <= `DE_STATE_ENTRY;
|
de_state <= `DE_STATE_ENTRY;
|
||||||
/* need early init */
|
/* need early init */
|
||||||
ucode_seq_addr <= `UCODE_NO_INSTRUCTION;
|
ucode_seq_addr <= `UCODE_NO_INSTRUCTION;
|
||||||
SIMPLE_MICRO <= 0;
|
SIMPLE_MICRO <= 0;
|
||||||
owe_set_init <= 0;
|
owe_set_init <= 0;
|
||||||
set_initial_values<=0;
|
set_initial_values<=0;
|
||||||
wait_exec<=0;
|
wait_exec<=0;
|
||||||
first_ucode <= 0;
|
first_ucode <= 0;
|
||||||
HALT_LATCHED <= 0;
|
HALT_LATCHED <= 0;
|
||||||
ERROR_LATCHED <= `ERROR_BITS'h0;
|
ERROR_LATCHED <= `ERROR_BITS'h0;
|
||||||
VALID_INSTRUCTION_ACK <= 0;
|
VALID_INSTRUCTION_ACK <= 0;
|
||||||
end
|
end
|
||||||
|
|
||||||
|
@ -54,7 +54,7 @@ string waveform_name;
|
|||||||
initial begin
|
initial begin
|
||||||
if($value$plusargs("WAVEFORM=%s",waveform_name))begin
|
if($value$plusargs("WAVEFORM=%s",waveform_name))begin
|
||||||
$dumpfile(waveform_name);
|
$dumpfile(waveform_name);
|
||||||
$dumpvars(0,p);
|
$dumpvars(0,p,cycles);
|
||||||
end
|
end
|
||||||
`ifdef OTUPUT_JSON_STATISTICS
|
`ifdef OTUPUT_JSON_STATISTICS
|
||||||
if($value$plusargs("STATS=%s",stats_name))begin
|
if($value$plusargs("STATS=%s",stats_name))begin
|
||||||
@ -65,6 +65,7 @@ initial begin
|
|||||||
json_file_descriptor=0;
|
json_file_descriptor=0;
|
||||||
`endif
|
`endif
|
||||||
sane=0;
|
sane=0;
|
||||||
|
finish=0;
|
||||||
end
|
end
|
||||||
|
|
||||||
//integer killswitch=0;
|
//integer killswitch=0;
|
||||||
@ -81,7 +82,7 @@ end
|
|||||||
`ifdef OTUPUT_JSON_STATISTICS
|
`ifdef OTUPUT_JSON_STATISTICS
|
||||||
reg first_json_cycle;
|
reg first_json_cycle;
|
||||||
always @(negedge clock)begin
|
always @(negedge clock)begin
|
||||||
if(finish < 2 && json_file_descriptor!=0)begin
|
if(finish < 2 && json_file_descriptor!=0 && sane)begin
|
||||||
$fdisplay(json_file_descriptor,"%s{\"C\":%0d,\"L1\":%0d,\"VDI\":%0d,\"JMP\":%0d}",first_json_cycle?"":",",cycles,L1_SIZE_STAT,VALID_INSTRUCTION_STAT,jump_req);
|
$fdisplay(json_file_descriptor,"%s{\"C\":%0d,\"L1\":%0d,\"VDI\":%0d,\"JMP\":%0d}",first_json_cycle?"":",",cycles,L1_SIZE_STAT,VALID_INSTRUCTION_STAT,jump_req);
|
||||||
first_json_cycle <= 0;
|
first_json_cycle <= 0;
|
||||||
end
|
end
|
||||||
|
Loading…
Reference in New Issue
Block a user